Please use this identifier to cite or link to this item: https://hdl.handle.net/10316/108211
DC FieldValueLanguage
dc.contributor.authorAndrade, João-
dc.contributor.authorGeorge, Nithin-
dc.contributor.authorKarras, Kimon-
dc.contributor.authorNovo, David-
dc.contributor.authorPrata, Frederico-
dc.contributor.authorSousa, Leonel-
dc.contributor.authorIenne, Paolo-
dc.contributor.authorFalcao, Gabriel-
dc.contributor.authorSilva, Vitor-
dc.date.accessioned2023-08-18T08:08:04Z-
dc.date.available2023-08-18T08:08:04Z-
dc.date.issued2017-
dc.identifier.issn2169-3536-
dc.identifier.urihttps://hdl.handle.net/10316/108211-
dc.description.abstractToday, high-level synthesis (HLS) tools are being touted as a means to perform rapid prototyping and shortening the long development cycles needed to produce hardware designs in register transfer level (RTL). In this paper, we attempt to verify this claim by testing the productivity bene ts offered by current HLS tools by using them to develop one of the most important and complex processing blocks of modern software-de ned radio systems: the forward error correction unit that uses low density parity- check (LDPC) codes. More speci cally, we consider three state-of-the-art HLS tools and demonstrate how they can enable users with little hardware design expertise to quickly explore a large design space and develop complex hardware designs that achieve performances that are within the same order of magnitude of handcrafted ones in RTL. Additionally, we discuss how the underlying computation model used in these HLS tools can constrain the microarchitecture of the generated designs and, consequently, impose limits on achievable performance. Our prototype LDPC decoders developed using HLS tools obtain throughputs ranging from a few Mbits/s up to Gbits/s and latencies as low as 5 ms. Based on these results, we provide insights that will help users to select the most suitable model for designing LDPC decoder blocks using these HLS tools. From a broader perspective, these results illustrate how well today's HLS tools deliver upon their promise to lower the effort and cost of developing complex signal processing blocks, such as the LDPC block we have considered in this paper.pt
dc.language.isoengpt
dc.publisherIEEEpt
dc.relationSFRH/BD/78238/2011pt
dc.relationUID/EEA/50008/2013pt
dc.relationUID/CEC/50021/2013pt
dc.rightsopenAccesspt
dc.subjectError correction codespt
dc.subjectreconfigurable architecturespt
dc.subjectaccelerator architecturespt
dc.subjectreconfigurable logicpt
dc.subjecthigh level synthesispt
dc.titleDesign Space Exploration of LDPC Decoders Using High-Level Synthesispt
dc.typearticlept
degois.publication.firstPage14600pt
degois.publication.lastPage14615pt
degois.publication.titleIEEE Accesspt
dc.peerreviewedyespt
dc.identifier.doi10.1109/ACCESS.2017.2727221-
degois.publication.volume5pt
dc.date.embargo2017-01-01*
uc.date.periodoEmbargo0pt
item.cerifentitytypePublications-
item.languageiso639-1en-
item.fulltextCom Texto completo-
item.grantfulltextopen-
item.openairecristypehttp://purl.org/coar/resource_type/c_18cf-
item.openairetypearticle-
crisitem.author.orcid0000-0001-6078-6912-
Appears in Collections:FCTUC Eng.Electrotécnica - Artigos em Revistas Internacionais
I&D IT - Artigos em Revistas Internacionais
Files in This Item:
Show simple item record

Page view(s)

63
checked on May 22, 2024

Download(s)

35
checked on May 22, 2024

Google ScholarTM

Check

Altmetric

Altmetric


Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.